histoire de la philo: les lumières

5530 mots 23 pages
Chapitre 4

Entr´es-sorties simples ou avec e interface programmable

91

92

4.1

´
CHAPITRE 4. ENTREES-SORTIES

Port d’entr´e ou de sortie simple e L’entr´e ou la sortie la plus simple, du point de vue du microprocesseur, occupe un seul port. e Comme nous le verrons, l’utilisation d’un seul port pour effectuer ` la fois des entr´es et des a e sorties, et mˆme que des entr´es ou que des sorties, est tr`s rare. e e e 4.1.1

Principe des entr´es-sorties et tamponnage e Nous avons d´j` vu que le 8088 utilise les instructions IN et OUT pour les entr´es-sorties ea e sur les p´riph´riques. Cependant les signaux sortants ne durent pas suffisamment longtemps et e e ne sont pas d’une puissance suffisante pour actionner un p´riph´rique. Les mˆmes probl`mes se e e e e posent pour les signaux re¸us. On a donc besoin de tampons. Ceci n’´tait pas le cas pour les c e circuits int´gr´s de m´moire qui poss`dent un syst`me int´gr´. e e e e e e e e a e e
Conception d’un port sortant.- Lorsqu’une donn´e parvient ` un p´riph´rique depuis le bus des donn´es, un tampon (latching system en anglais) doit ˆtre con¸u. On utilise pour cela un tampon e e c a
` trois ´tats (d’apr`s le circuit int´gr´ tri-state buffer, marque d´pos´e de National Semiconductor e e e e e e
Corp.).

Fig. 4.1 – Conception d’un port sortant
La figure 4.1 montre l’utilisation d’un 74LS373 dans ce but. Pour que le 74LS373 fonctionne en tant que bascule, la broche OC doit ˆtre ` la terre et il doit y avoir une impulsion de niveau e a haut ` niveau bas pour verrouiller, autrement dit enregistrer, la donn´e pr´sente sur le bus des a e e donn´es. Il est usuel de combiner dans une porte AND la sortie du d´codeur d’adresse et le signal e e de contrˆle (IOR ou IOW) pour activer le verrouillage. o e
Conception d’un port entrant.- Le tri-state buffer 74LS244 est usuellement utilis´ dans la conception des ports IN. La figure 4.2 montre l’utilisation

en relation

  • vacance aux sky listetrousseau Samoens
    319 mots | 2 pages
  • Hda Supermarket Lady
    1036 mots | 5 pages
  • Tibo
    690 mots | 3 pages
  • Playdoyer pour une sucette orthodontique
    2662 mots | 11 pages
  • La toile parlante
    317 mots | 2 pages
  • Histoire de l'éclairage
    1471 mots | 6 pages
  • Analyse bénédiction
    1078 mots | 5 pages
  • Un amour de swann (résumé)
    1571 mots | 7 pages
  • L'ingratirude
    1652 mots | 7 pages
  • Sport espagnol
    4089 mots | 17 pages
  • bonjour
    2127 mots | 9 pages
  • APP1gustav
    794 mots | 4 pages
  • Philosophes des lumières
    3259 mots | 14 pages
  • Brève histoire de la philo
    20967 mots | 84 pages
  • La philosophie des lumières
    908 mots | 4 pages