Architecture des ordinateurs
MINISTERE DE L’ENSEIGNEMENT SUPERIEUR
ET DE LA RECHERCHE SCIENTIFIQUE
UNIVERSITE DE TUNIS EL MANAR
FACULTE DES SCIENCES DE TUNIS
DEPARTEMENT DES SCIENCES DE L’INFORMATIQUE
Section: IF4
Matière: Architecture des ordinateurs
Année Universitaire: 2012-2013
Table de matière
Exercice 1 3
Circuit 1 3
1.1 Code source 3
1.2 CPLD rapport 3
1.3 Schéma RTL 4
Circuit 2 5
2.1 Code source 5
2.2 CPLD rapport 5
2.3 Schéma RTL 6
Circuit 3 7
3.1 Code source 7
3.2 CPLD rapport 8
3.3 Schéma RTL 9
Exercice 2 10 1 Description de manière comportementale le multiplexeur 10 1.1 Cde source 10 1.2 CPLD rapport 10 1.3 Schema RTL 11 1.4 Schema Technologie 12 2 Description de manière structurelle le multiplexeur 12 2.1 Code source 12 2.2 CPLD rapport 13 2.3 Schema RTL 13 2.4 Schema Technologie 14
Exercice 1:
1. Circuit 1
1.1 Code source: library IEEE; use IEEE.STD_LOGIC_1164.ALL;
entity code11 is Port ( A : in STD_LOGIC; B : in STD_LOGIC; sel : in STD_LOGIC; s : out STD_LOGIC_VECTOR (1 downto 0)); end code11;
architecture Behavioral of code11 is
Begin
Process (A,B,sel) begin SS(1));
E3: poretet port map ( A=>s1 , B=> n_sel, C =>S(0));
end Behavioral;
3.2 CPLD rapport:
3.3 Schéma RTL :
Exercice 2: 1. Description de manière comportementale le multiplexeur
1.1 Code source : library IEEE; use IEEE.STD_LOGIC_1164.ALL;
entity mux1 is Port ( e1 : in STD_LOGIC; e2 : in STD_LOGIC; sel : in STD_LOGIC; s : out STD_LOGIC); end mux1;
architecture Behavioral of mux1 is begin process (sel) begin if sel = '1' then s x(1), sel=>s0, s =>y1); m2: mux1