19

4825 mots 20 pages
Le langage VHDL

Eduardo Sanchez
EPFL

•

Livres conseillés:

•
•
•
•
•
•

John F. Wakerly
Digital design (4th edition)
Prentice Hall, 2005
Peter J. Ashenden
The designer's guide to VHDL (3rd edition)
Morgan Kaufmann, 2008
Peter J. Ashenden
The student's guide to VHDL (2nd edition)
Morgan Kaufmann, 2008
James R. Armstrong – F. Gail Gray
VHDL design: Representation and synthesis (2nd edition)
Prentice Hall, 2000
Jacques Weber – Maurice Meaudre
Le langage VHDL: Du langage au circuit, du circuit au langage
Masson, 2007
Roland Airiau – Jean-Michel Bergé – Vincent Olive – Jacques Rouillard
VHDL: Langage, modélisation, synthèse (3ème édition)
PPUR, 2003
Eduardo Sanchez

2

VHDL
VHSIC
Very High-Speed Integrated Circuits

•
•

•

Hardware Description Language

Langage formel pour la spécification des systèmes digitaux, aussi bien au niveau comportemental que structurel
Utilisation:
• description des systèmes
• simulation
• aide à la conception
• documentation
Caractéristiques principales:
• description à plusieurs niveaux
• simulation activée par événements (event-driven)
• modularité
• extensibilité
• langage général, fortement typé, similaire à Ada
Eduardo Sanchez

3

Histoire
•
•
•
•
•
•
•

1980:
Début du projet, financé par le DoD (400M $US)
1982:
Contrat pour Intermetrics, IBM et Texas
1985:
Version 7.2 dans le domaine public
1987:
Standard IEEE 1076 (VHDL-87)
1993:
Nouvelle version du standard (VHDL-93)
2001:
Nouvelle version du standard (VHDL-2001)
2008:
Nouvelle version du standard (VHDL-2008)
Eduardo Sanchez

4

Entité et architecture
•
•
•
•

VHDL nous intéresse en tant que langage pour la description, simulation et synthèse des systèmes digitaux
Au plus haut niveau d'abstraction, un système digital est vu comme une "boîte noire", dont on connaît l'interface avec l'extérieur mais dont on ignore le contenu
En VHDL la boîte noire est nommé entité (entity)
Une entité doit toujours être associée avec au moins une description de son

en relation

  • Analyse tableaux tooker / paquignon
    683 mots | 3 pages
  • le théoreme du perroquet
    279 mots | 2 pages
  • Language of statecharts de david harel
    3939 mots | 16 pages
  • 17
    354 mots | 2 pages
  • Cdvm2012
    30932 mots | 124 pages
  • 11 18
    981 mots | 4 pages
  • truc dans l'univers
    660 mots | 3 pages
  • Louis vuitton
    400 mots | 2 pages
  • Réaliser un magasine avec indesign et photoshop
    51839 mots | 208 pages
  • Weber
    2310 mots | 10 pages
  • conception UML
    4709 mots | 19 pages
  • Le langage vhdl
    496 mots | 2 pages
  • 12 19
    1036 mots | 5 pages
  • 19
    23121 mots | 93 pages
  • 20
    12808 mots | 52 pages